add: new signals

This commit is contained in:
kostas 2024-06-27 13:50:06 +02:00
parent 3b90a51a54
commit 29c6217990
1 changed files with 1 additions and 0 deletions

View File

@ -36,6 +36,7 @@ public partial class Battery250UpRecord
//mine
[InputRegister<UInt64>(1010)] private UInt64 _AlarmFlags16to31;
[InputRegister<UInt64>(1011)] private UInt64 _AlarmFlags32to47;
[InputRegister<UInt64>(1012)] private UInt64 _AlarmFlags48to63;